Verilog를 이용한 FPGA 활용 기초

(5.0) 수강평 7

수강생 108

초급자를 위해 준비한
[임베디드 · IoT, 반도체] 강의입니다.

이 강의를 통하여 수강생들은 Verilog 학습에 필요한 기본, 핵심 지식을 습득하게 됩니다.

이런 걸
배워요!

  • Verilog 프로그래밍

  • State Machine 구현

베릴로그 프로그래밍의 핵심을 잡았습니다!

💾 수강 전 확인해주세요!

  • 본 강의는 PDF 형태의 텍스트 강의로, 별도 영상을 제공하지 않습니다. (총 43,651자 분량)
  • 강의를 수강하시는 분들께는 강의에서 설명된 모든 소스 파일을 제공합니다. 강의 자료는 섹션 0 [자료 공유 링크] 수업에서 확인하실 수 있습니다.
  • 본 강의에 소개되는 소스는 Vivado 2018.3 (Windows) 에서 구현되었습니다. 다른 버전에서도 동작하는 데는 크게 문제되지 않습니다.

Verilog 코딩이 처음이신가요?

이 강의에서는 Verilog(베릴로그)를 배우시는 분들에게 Verilog의 중요한 특징들을 설명해 드립니다.

Verilog Programming
마이크로컨트롤러 프로그래밍을 위한 FPGA 코딩의 기본

Verilog는 우리가 흔히 접하는 C, C++, C#, JAVA, PYTHON 등과는 매우 다른 세계의 언어입니다. Verilog를 처음 접하시는 분들이라면 이전에 경험하지 못한, 매우 낯선 환경의 프로그래밍 언어로 느껴질 수 있습니다.

Verilog는 FPGA를 활용한 마이크로 컨트롤러 프로그래밍에 관심이 있는 분들께 널리 쓰이고 있습니다. 하지만 낯설고 생소한데다 한국어로 된 문서나 학습 자료가 많지 않습니다. FPGA 전문 회사인 Xilinx(자일링스)에서 여러 문서를 제공하고 있지만, 어떤 문서를 어떻게 봐야 할지 경험이 없는 분들 입장에서는 도통 알 수가 없습니다.

Verilog를 이용한 FPGA 활용을 배우고 싶으신가요? 이 강의에서는 시뮬레이션을 통해 결과를 확인하며 Verilog의 중요한 개념을 알아봅니다. 이 강의가 FPGA를 시작하는 좋은 길잡이가 될 수 있기를 바랍니다.

이런 분들께 추천합니다.

1️⃣ Verilog의 중요한 특징을 알고 싶은 분

2️⃣ Xilinx FPGA를 배우고 싶으신 분


강의의 특징을 
확인해보세요.

본 강의는 Verilog의 기본 문법을 다루지는 않습니다. Verilog를 공부하기 위하여 핵심적으로 알아야 할 내용들을 친절하게 설명하고, Simulation을 통해서 결과를 확인함으로 더욱 쉽게 이해할 수 있도록 구성되어 있습니다.

상세 커리큘럼 (목차)
  • 개요 (2)
  • register는 무엇인가? (3)
    • reg 회로 분석 (4)
    • simulation (21)
  • reg 와 wire (34)
    • 실습 – 1 (1-clock 안에 이루어지는 경우) (35)
    • 실습 – 2 (2-clock 안에 이루어지는 경우) (38)
    • delay 오류로 인한 오동작 (40)
  • signed, unsigned 덧셈, 뺄셈 (44)
    • unsigned 덧셈 (44)
    • unsigned 뺄셈 (46)
    • signed 덧셈, 뺄셈  (47)
    • unsigned number 곱하기 (52)
      • 상수 곱하기 (52)
      • dsp (dsp slice) (53)
      • 변수 곱하기 (54)
      • 10bits * 10bits 연산 구현 (55)
      • 10bits * 10bits 연산 비교 (57)
  • SM (State Machine) 구현 (61)
    • SM 구성 (61)
    • 상태 정의 (62)
    • 상태 플래그 생성 (62)
    • 코드 구현 (62)
    • 상태 전이 구현 (64)
    • simulation 결과 확인 (65)
  • for 루프 구현 (67)
    • C로 구현 (67)
    • verilog로 구현 (68)
  • 그 외의 내용 (72)
  • Revision History (76)

🎙️ 강의를 만든 지식공유자 소개

저는 20여 년 동안 대기업, 중소기업에서 개발자로 일해왔고 현재는 작은 기업을 운영하고 있습니다. CCTV용 ISP(Image Signal Processing) ASIC을 개발하였고 OLED 검사 장비, DAQ (Data Acquisition System) 등 FPGA를 이용한 많은 제품을 개발하였습니다. FPGA뿐만 아니라 FW 개발 (STM32, PIC32, AVR, ATMEGA 등), 회로 설계, Windows Program 등 많은 경험을 갖고 있습니다. 그동안 제가 쌓아온 폭넓은 경험을 바탕으로 여러분의 학습에 도움이 되기를 소망합니다.

함께 보면 좋은 강의 📖

이런 분들께
추천드려요!

학습 대상은
누구일까요?

  • Verilog를 학습하는 데 어려움을 겪고 계신 분

  • Xilinx FPGA를 배우시려는 분

선수 지식,
필요할까요?

  • 기초 Verilog 문법

  • Vivado 툴 사용법

안녕하세요
alex입니다.

저는 지난 20여년 동안 대기업, 중소기업에서 개발자로 일해왔고

현재는 작은 기업의 대표로 있습니다.

주요 경력사항은

  • Verilog HDL을 이용한 FPGA 설계

    • CCTV용 ISP ASIC 개발 (약 10년)

    • OLED Display 검사장비 개발 (약 3년)

    • FPGA를 이용한 장비 개발

  • MCU FW

    • STM32

    • PIC32

    • AVR, ATMEGA

    • DSP (TI)

  • Windows Application Program

    • Visual Studio MFC, C++

입니다.

커리큘럼

전체

77

강의 게시일: 2023년 03월 17일
마지막 업데이트일: 2023년 03월 25일

수강평

아직 충분한 평가를 받지 못한 강의입니다.
모두에게 도움이 되는 수강평의 주인공이 되어주세요!